Bit-level parallelism

16-10-2023

Bit-level parallelism — вид параллельных вычислений, основанный на увеличении размера машинного слова.

С появлением первых микропроцессоров в начале 1970-х годов, увеличение размера машинного слова стало основным направлением прогресса при разработки новых моделей. Четырёх битные микропроцессоры сменялись 8-и, 16-и и 32-х битными. Каждое удвоение машинного слова снижало количество инструкций, необходимых для обработки данных имеющих большую длину, чем размер прежнего машинного слова. Возможности увеличения производительности за счёт увеличения размера машинного слова в основном были исчерпаны с появлением 32-х разрядного микропроцессора 80386 в 1985 г. Значительно более позднее появление 64-х разрядных микропроцессоров в основном связано с увеличением адресного пространства, а не производительности. Процессоров общего назначения с большей разрядностью машинного слова (128 бит) на 2012 г. ещё не существует.

Литература

  • David E. Culler, Jaswider Pal Singh, Anoop Gupta. Раздел 1.1.3. Architectural Trends // Parallel Computer Architecture: A Hardware/Software Approach. — Gulf Professional Publishing, 1999. — P. 15. — 1025 p. — (The Morgan Kaufmann Series in Computer Architecture and Design Series). — ISBN 1558603433

Bit-level parallelism.

© 2011–2023 stamp-i-k.ru, Россия, Барнаул, ул. Анатолия 32, +7 (3852) 15-49-47